SciELO - Scientific Electronic Library Online

 
vol.1 número3A pure nodal-analysis method suitable for analog circuits using nullorsDevelopment of a virtual forum for physics professors índice de autoresíndice de assuntospesquisa de artigos
Home Pagelista alfabética de periódicos  

Serviços Personalizados

Journal

Artigo

Indicadores

Links relacionados

  • Não possue artigos similaresSimilares em SciELO

Compartilhar


Journal of applied research and technology

versão On-line ISSN 2448-6736versão impressa ISSN 1665-6423

J. appl. res. technol vol.1 no.3 Ciudad de México Dez. 2003

 

Implementation of a neuron model using FPGAS

 

M. A. Bañuelos-Saucedo, J. Castillo-Hernández, S. Quintana-Thierry, R. Damián-Zamacona, J. Valeriano-Assem, R. E. Cervantes, R. Fuentes-González, G. Calva-Olmos & J. L. Pérez-Silva

 

Laboratorio de Electrónica, Centro de Ciencias Aplicadas y Desarrollo Tecnológico, UNAM, Apdo. Postal 70-186, México, D.F.

 

Received: October 10th 2001.
Accepted: January 7th 2003.

 

Abstract

Artificial neural networks base their processing capabilities in a parallel architecture, and this makes them useful to solve pattern recognition, system identification, and control problems. In this paper, we present a FPGA (Field Programmable Gate Array) based digital implementation of a McCulloch-Pitts type of neuron model with three types of non-linear activation function: step, ramp-saturation, and sigmoid. We present the VHDL language code used to implement the neurons as well as to present simulation results obtained with Xilinx Foundation 3.0 software. The results are analyzed in terms of speed and percentage of chip usage.

Keywords: Digital artificial neuron, field programmable gate array, McCullogh-Pitts neuron.

 

Resumen

Las redes neuronales artificiales basan sus capacidades de procesamiento en una arquitectura paralela, lo cual las hace útiles para resolver problemas de reconocimiento de patrones, identificación de sistemas y control. En este artículo, presentamos una implementación digital basada en arreglos de compuertas programables (FPGA, por sus siglas en inglés) de un modelo de neurona tipo McCullogh-Pitts con tres tipos de funciones de activación no-lineal: escalón, rampa-saturación y sigmoide. Presentamos el código en lenguaje VHDL utilizado para implementar las neuronas, y también presentamos los resultados de su simulación obtenidos con el software Xilinx Foundation 3.0. Los resultados son analizados en función de la velocidad y el porcentaje de utilización del chip.

 

DESCARGAR ARTÍCULO EN FORMATO PDF

 

Reference

[1] Bañuelos, M. A., Diseño y construcción de una neurocomputadora analógica. Tesis de Maestría. Facultad de Ingeniería, UNAM, 1997, 144 págs.         [ Links ]

[2] Xilinx, VHDL Reference guide. Xilinx Inc., 1999.         [ Links ]

[3] Kwan, H. K., "Simple sigmoid-like activation function suitable for digital hardware implementation". Electronic Letters, v.28, no. 15. July, 1992, pp. 1379-1380.         [ Links ]

[4] Yalamanchili S. Introductory VHDL from simulation to synthesis. Prentice May, 2001, 401 págs.         [ Links ]

[5] Pardo-Carpio, Boluda-Grau F. & José A., VHDL Lenguaje para síntesis y modelado de circuitos. Alfaomega 2000, 238 pags.         [ Links ]

[6] Xilinx VHDL Reference guide. Xilinx Inc., 1999.         [ Links ]

Creative Commons License Todo o conteúdo deste periódico, exceto onde está identificado, está licenciado sob uma Licença Creative Commons